(Part 2) Top products from r/FPGA

Jump to the top 20

We found 29 product mentions on r/FPGA. We ranked the 52 resulting products by number of redditors who mentioned them. Here are the products ranked 21-40. You can also go back to the previous section.

Next page

Top comments that mention products on r/FPGA:

u/BertSierra · 5 pointsr/FPGA

[part 3 of 3]

REGARDING ALT SOURCES FOR XILINX FPGA DEVELOPMENT BOARDS

Xilinx, Digilent and Zedboard aren’t the only sources for Xilinx development boards, not by a long shot. I was considering doing some Kintex or Virtex development for a supermassive parallel processor to solve a specific type of math problem. The Xilinx development board would have been USD$2,500 or so. That’s when I ran across Trenz Electronic GmbH (Germany) as another source, and they have a LEGO-like approach to having various IO boards onto which FPGA/SoC daughterboards can snap aboard. So you select the level of IO sophistication and form factor you want, and then what FPGA module to drive it with. For Artix-7 FPGA, the total cost is usually higher than equivalent Digilent or Zedboard boards. But for Kintex-7 or Virtex-7 FPGAs, the cost is often lower competitive equivalents. Worth checking out.

REGARDING INTEL (née Altera)If your desire it be most hirable, then yes: I would suggest purchasing a mix of development boards (if you have the budget) across at least Xilinx and Altera, and maybe Lattice for their great “less is more boards. I haven’t had time & budget to explore the Altera FPGAs and SoCs, but if I did I’d definitely be purchasing development boards through Terasic (Taiwan-based company) because they have the same lower-price, more marketable designs for boards as is true for Digilent (USA-based) with Xilinx chips.

[10] Terasic (English-language website):

https://www.terasic.com.tw/en/

REGARDING LATTICE

Last year I bought two small development boards from Lattice. I love these little boards which, like Digilent’s small 40-pin Cmod-A7 series, are great for those who love to tinker with FPGA-driven custom hardware designs. I love the idea that perhaps because Lattice are #3 in sales volume, they get the fact that you probably shouldn’t gouge folks for development boards. I first purchased an older (almost legacy) MachXO2-based development board (I forget the exact model) for $25 and then a newer MachXO3-based development board for $35, one from Lattice and the other from Digi-Key, as I recall. The big difference is how you want the bitcode stored: I chose the flash models over the alternative for the MachXO3.

These are teeny-tiny boards (roughly 3”x3” form factor) and are more like the Digilent Cmod-A7 except that they have four rows of 2x20 female headers on them as GPIO+power connectors for external hardware. I typically just install jumpers from the headers to my breadboards for projects. The margins would be too small for me to sell these alone, so I‘m thinking of listing each with a nice sized breadboard, jumpers and a bunch of RGB LEDs and 220Ω resistors as a “Lattice FPGA Development Starter Kit” for MachXO2 or MachXO3 designs. I don’t know what the price points would be, but that will all be figured out this coming weekend. I might also do the same with my Cmod-A7 boards to make them more attractive as well. I think I have four large breadboards in total, though I’ll probably skimp on the LEDs, resistors, and jumpers for the Cmod-A7 boards (and I might just list those by themselves to keep shipping costs to a minimum).

That’s really all I have to say other than to say I post quite often my thoughts about FPGAs to the @µCauliflowerBrewClub on FB, as I mentioned, and to Quora.com to answer questions there when I have time (because anything FPGA-related often requires a very detailed answer, as I’m overdoing here). I am only weeks in to Reddit and so I don’t know how much I’ll be posting about FPGA stuff here.

Finally, since I am a professional tutor of various technologies (including FPGAs), general math and science, should anyone want individual or group training on such things via group Skype video sessions, we can make that happen for as-needed or regular basis. FPGA/SoC designs have a tremendous learning curve associated with them, and even five years in I consider myself an intermediate, not expert, programmer and designer. Most recently I explored high-speed parallel adder circuits which was a lot of fun; ways of going wider than 32-bits to 64-bit or 128-bit adders/subtractors. I have fully scalable IP I hope release in 2019 into the public domain when I can document it which goes far beyond any free IP I’ve seen. Very proud of that, and that would be a great tutoring project to consider because switches+adders+LEDs or 7-segment outputs is a great entry-level project to tackle on ANY type of FPGA or SoC.

I’ll include contact info below, if anyone wants to snag the boards before I list them this weekend, or to inquire about FPGA tutoring or other subjects.

“Blind Man” Bert Sierra

The Kanamit Collective

Prescott, Arizona, United States

[email protected] [allow 2-3 days for replies]

(928) 458-5809 [24x7; no texts please]

@Bert.Sierra on Facebook

PS — Another good resource are books which focus on FPGA-specific topics like state machines, piplines, and so on. It can take time to detoxify your brain from thinking in sequential programming terms (which is partly why I am personally less interested in SoC development than pure FPGA designs). It can take a while to think purely in state machine terms, and also to deal with the various issues that arise as signals cross clocking domains which is something that can also trip up newbies if not properly trained on how to inject little synchronizer logic blocks. This is true even when switching from the asynchronous world of input buttons and switchs. I’ve been going over all my designs and inserting input synchronizers on switches and buttons (in addition to button debouncers, of course), and myriads of long-standing weirdnesses have disappeared as a result.

Here is a great book for getting on the state machine learning curve, with VHDL and SystemVerilog code snippets:

[11] “Finite State Machines in Hardware: Theory and Design (with VHDL and SystemVerilog)” — Volnei A. Pedroni — $45 ($25 from me; used, great condition, unmarked):

https://www.amazon.com/Finite-State-Machines-Hardware-SystemVerilog/dp/0262019663

​

[end part 3 of 3]

u/xerevix · 1 pointr/FPGA

For books, my adviser seems to like: https://www.amazon.com/VHDL-Digital-Design-Frank-Vahid/dp/0470052635/ref=sr_1_11?ie=UTF8&s=books&qid=1231766888&sr=8-11.
I'm sure it has nothing to do with Frank Vahid being his old advisor... but Frank does know his stuff and his books are typically easy to follow (I have not read this book). It's obviously VHDL and not Verilog, but it's not hard to go from one language to another.

I'm currently reading through: https://www.amazon.com/gp/product/1523364025/ref=oh_aui_detailpage_o04_s03?ie=UTF8&psc=1.
It's SystemVerilog, still not Verilog, but SV is a super-set of Verilog so it still may be useful. Also, if you use SV for verification you will be happy. DPI is your friend.

Hopefully this was a little helpful.

u/dehim · 1 pointr/FPGA

FPGA Prototyping by SystemVerilog Examples or FPGA Prototyping by VHDL Examples by Pong P Chu are both great books. They not only teach you syntax, but also some good guidelines all by taking you through a whole bunch of prototyping examples and exercises. They also teach you a bit about SoC design and give you some explanation of the Vivado software. There are also books by Chu that focus on development with Intel/Altera FPGAs, but personally I find Vivado a whole lot easier to work with than Quartus, so for your first FPGA I would recommend getting a Xilinx FPGA. You do need a 7+ series FPGA to use the Vivado environment. Furthermore, I'd recommend learning SystemVerilog as it has better support than VHDL 2008.

u/jitter_electronics · 2 pointsr/FPGA

Hi,

First thing to do is get a good grip on the HDL that your university teaches. Mine teaches VHDL for example. My favourite 'quick start' guide was This book, as it's very short and throws you straight in. I'm not sure what level of project you want, but if you are just starting out, start basic. Try building an ALU, some RAM etc. One of my favourite labs at uni was building an up/down counter in architectural entry type. If you havent already, Look into the 3 main 'data entry' types, as these are important to grasp early on. Although I am not an FPGA designer, I believe FPGA design in industry is mostly based on large advanced blocks (such as RAM, IP CPUs ) being connected together. Hope this helps.

Good luck!

u/Kommodor · 3 pointsr/FPGA

Well, you need to learn some HDL(s) first, VHDL or Verilog for exemple. I would recommend you to pick up a reference book like the Volnei Pedroni VHDL, or Pong. P. Chu.


http://www.amazon.com.br/Circuit-Design-Simulation-Volnei-Pedroni/dp/0262014335

http://www.amazon.com/FPGA-Prototyping-VHDL-Examples-Spartan-3/dp/0470185317/ref=asap_bc?ie=UTF8


And you can practice here: http://www.edaplayground.com/ without having to download any tool. After you stick to the HDL methodology, you start to think about prototyping, placing, routing, layout on a physical FPGA. I would recommend you to take a look in hardware verification and testbench design, depending on what you're implement it will be crucial to have a robust verification enviroment to avoid huge debugging efforts and lots of headaches.

u/fridge_is_running · 2 pointsr/FPGA

This is good advice. Specifically, I'd suggest a how-to book to get started. The "Learning By Example" publishers have a nice series with applications for Xilinx/Digilent FPGA systems. See http://www.lbebooks.com/

Most of the digital design books (University Level) are all theory and homework with minimal examples you can implement RIGHT NOW in verilog. If you need a University-level reference, Harris and Harris is better than most. https://www.amazon.com/Digital-Design-Computer-Architecture-ARM/dp/0128000562

Either of these references would work with https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/ note, there's a "student price" if you ask.

u/ZombieRandySavage · 2 pointsr/FPGA

Good luck to you.

This is the best VHDL reference by far.

Ashenden

A decent reference for system verilog, I guess verilog as well.

sysverilog for design

This github account has a good bit of decent FPGA focused Hdl

https://github.com/analogdevicesinc/hdl

And this one

https://github.com/EttusResearch/fpga

If I was you I would focus on being fluent in one vendor, probably Xilinx. I would also focus on learning how to implement Axi4 Streaming Interfaces with back pressure. It’s relatively new on that side of things and may be a decent way to differentiate yourself early on. It’s subtlety complex and very powerful when used consistently.

Anyone I’d think about hiring as a 3 to do FPGA needs to know modelsim or the equivalent. Vendors will try to convince you their generator stuff is so good “you don’t have to simulate it!” They are lying.

Get the vendor one from microsemi or Altera, or use xsim. Xsim I find dumb, but I’ve got lots of stick time on more expensive tools.

u/dekas_guitarhero · 1 pointr/FPGA

Specially because you mentioned they mostly use Xilinx, I do enjoy and recommend this book.

Digital System Design with FPGA: Implementation Using Verilog and VHDL https://www.amazon.com/dp/1259837904/ref=cm_sw_r_cp_api_i_GyIBCbA55TKK1

It’s the newest book about VHDL and Verilog designing with Xilinx boards and tools. It uses the Basys and/or Arty prototype boards, which contain fairly new Xilinx chips.

It will also allow you to familiarize yourself with the Vivado tools and flows.

u/suhcoR · 1 pointr/FPGA

Have a look at this book: FPGA-based Implementation of Signal Processing Systems

Here's another recommended one: Digital Design of Signal Processing Systems

Here's one with focus on Audio: Digital Audio Signal Processing

Especially the first two I can recommend, but of course it's always subjective which books someone prefers.

EDIT: I also have the Springer and yet another title, but still would go for the ones mentioned above.

EDIT 2: If you look for a practical guide on audio effects programming (not FPGA), then I can recommend this one: Designing Audio Effect Plug-Ins in C++; there is also another book by the same author about synthesizers.

u/pancakeses · 1 pointr/FPGA

Make has a book on FPGAs (https://www.amazon.com/gp/aw/d/145718785X/). Also, check out the FPGAs 4 fun site (http://www.fpga4fun.com)

u/fr3nch13 · 1 pointr/FPGA

Although not specifically targeting FPGAs, “Understanding DSP” by Richard Lyons is very good. Very readable.

https://www.amazon.com/dp/0137027419/ref=cm_sw_r_cp_awdb_t1_FQ4ZCbSRHV7QQ

u/sickofthisshit · 6 pointsr/FPGA

IMO schematic capture is just not the way to go. The applications of FPGAs are just too big these days for that low-level approach. (I am making a distinction between gate-level schematics and high-level descriptions, where you are describing huge blocks of complexity).

If you are doing small circuits that you would define by gates, you might even be better off doing it with discrete logic chips.

https://www.amazon.com/Learning-Art-Electronics-Hands-Course/dp/0521177235/

For small circuits in HDL, you can use free simulators.

Also I'm not sure what you mean by "older version of the programming language." Older chips sometimes mean you have to use the older development environments but the languages VHDL vs. Verilog or System Verilog is not really about old-vs-new.

u/ReversedGif · 1 pointr/FPGA

That's a pretty shallow approach... Don't judge a [language] by it's [syntax].

Just look at this StackOverflow question; the general consensus seems to be that you should learn VHDL first due to there being less weirdness and the compiler catching more mistakes.

One person even says that the similarity of Verilog to C is actually a disadvantage, as the similarity is only skin-deep and can mislead you, whereas:

>VHDL was different enough that I found it much easier to think in terms of logic design and not control flow.

Just realize that books like Verilog and SystemVerilog Gotchas: 101 Common Coding Errors and How to Avoid Them exist.

This article is also interesting.

u/nwndarkness · 4 pointsr/FPGA

Computer Organization and Design RISC-V Edition: The Hardware Software Interface (ISSN) https://www.amazon.com/dp/B0714LM21Z/ref=cm_sw_r_cp_api_i_Wn3xDbMYHH61S

Computer Architecture: A Quantitative Approach (The Morgan Kaufmann Series in Computer Architecture and Design) https://www.amazon.com/dp/0128119055/ref=cm_sw_r_cp_api_i_jp3xDbRYQ12GA

u/OhhhSnooki · 6 pointsr/FPGA

There are two books that are decent

SystemVerilog for Verification and SystemVerilog for Design


I have found The Designer's Guide to VHDL by Peter Ashenden to be a god-send for learning VHDL from a language perspective. I have found nothing as good for SystemVerilog. Those two books I mentioned are close though.

My personal opinion is that SystemVerilog is cool, but frankly just an unmitigated shit-show of language design. They should be ashamed of how poor it is.

There is no concept of a standard library, and things that should be in a standard library are core language. Then there is this idea of a "Verification Methodology" like OVM and UVM, which are libraries, but they are glued into the core language through MACROS!!! MACROS!! I'm not kidding. It is almost comical how bad it is.
As I've said before. We need an open source simulator that can handle multiple language simulations, and then to replace this mess with something modern and awesome.


I would also suggest checking out this guys stuff http://syswip.com/. It is a little funny in some places, but it really helped me understand so of the approaches that a designer could take. The approach a UVM guy would take, is sadly, almost completely different than this. I don't think it is better though depending on what you are doing.